วันอาทิตย์ที่ 18 พฤศจิกายน พ.ศ. 2561

ทำ mini project วิชา ดิจิตอล และ วิชา สัญญาณและระบบ

วิชา ดิจิตอล
ออกแบบ component สำหรับวงจรนับเลขถอยหลังและมรการกดปุ่มเพื่อตั้งเวลา

ออบแบบวงจร debounce สำหรับจับขอบของการกดปุ่ม
ออกแบบวงจร mux สำหรับเลือกข้อมูล 3 เอา 1
ออกแบบวงจร demux สำหรับถอดรหัสสัญญาณ 1 เป็น 4
ออกแบบวงจร นับลด ให้นับเลขลดลงทีละ 1

ติดปัญหาอยู่ที่วงจรนับลดสามารถนับลดได้ 1 bit แต่วงจรต้องการนับลด 4 bit
  #วงจรเขียนด้วยภาษา vhdl

library ieee;
use ieee.std_logic_1164.all;
entity binary_countdown is
port( A: in std_logic_vector(3 downto 0);         
B: in std_logic_vector(3 downto 0);         
Ain: in std_logic_vector(3 downto 0);          
binary_out: out std_logic_vector(3 downto 0);         
Bo: out std_logic_vector(3 downto 0)); 
end binary_countdown;
architecture behav1 of binary_countdown is
signal x : std_logic_vector(3 downto 0);
signal y : std_logic_vector(3 downto 0);       
begin      
process(A,B,x)--Ain)        
begin        
binary_out <= (A xor (B xor x));        
x <= (((not A) and B) or (x and ((not A) xor (not B))));       
end process; 
end behav1; 




วิชา สัญญาณและระบบ
ทำให้แสดงกราฟสัญญาณขึ้นบนหน้า gui ได้แล้ว แต่ ยังไม่สามารถกำหนดความถี่ของสัญญาณเองได้ เนื่องจาก ไม่สามารถรับ text ที่เป็น type ชนิดตัวเลขได้

ไม่มีความคิดเห็น:

แสดงความคิดเห็น